Specifications

INDEX
ALTERA CORPORATION INTRODUCTION TO QUARTUS II 263
Programmer 182
quartus_pgm executable 185
quartus_pgmw executable 18
stand-alone version 18
, 184
Programmer Object Files (.pof) 182
, 186,
187, 242
programming 182
design flow 182
programming hardware 184
programming files
converting 183
, 187
creating secondary 187
Programming Files tab 187
Project Navigator window 36
Q
qmegawiz executable 18
QSF 35
, 117, 146
Quartus II Default Settings Files (.qdf) 35
Quartus II look and feel 6
Quartus II Project Files (.qpf) 35
Quartus II quick menu 7
Quartus II Settings Files (.qsf) 35
, 117, 146
Quartus II software
command-line design flow 16
EDA tool design flow 10
, 24
general design flow 2
GUI design flow 3
Quartus II Tutorial 255
Quartus II Workspace Files (.qws) 35
quartus_asm executable 18
, 183
quartus_cdb executable 19
, 41, 122
quartus_cpf executable 19
, 192
quartus_drc executable 18
, 80
quartus_eda executable 18
, 131, 159
quartus_fit executable 18
, 91
quartus_map executable 18
, 69
quartus_pgm executable 19
, 185
quartus_pgmw executable 18
, 184
quartus_pow executable 19
, 176
quartus_sh executable 19
quartus_sim executable 19
, 138
quartus_stp executable 19
, 198
quartus_stpw executable 18
, 200
quartus_swb executable 19
, 239
quartus_tan executable 18
, 149
quick menus 7
R
RAM Initialization Files (.rif) 132
Raw Binary Files (.rbf) 183
, 187, 242
Regions window 100
Remove Connection command 218
Report window 95
, 152
Resource Optimization Advisor 102
Resource Property Editor 211
, 217
revisions 37
Revisions dialog box 37
routing 90
congestion 164
connection counts 163
critical paths 164
delays 163
RTL Viewer 80
, 210
Run EDA Simulation Tool command 131
Run EDA Timing Analysis Tool
command 158
S
saving intermediate synthesis results 120
Selected Elements Window command 216
Serial Vector Format Files (.svf) 183
, 184,
187
Set as Design Partition command 62
settings
Analysis & Synthesis 76
Compiler 60
Design Assistant 79
EDA tools 13
, 73, 130, 226
Fitter 100
Fitter optimization 169
formal verification 227
HardCopy 60
physical synthesis optimization 101
PowerPlay Power Analyzer 176
Quartus II Project Files (.qpf) 35
Quartus II Settings Files (.qsf) 35