User`s manual

ModelSim VCD commands and VCD tasks
9-234 Value Change Dump (VCD) Files ModelSim Xilinx Users Manual
ModelSim VCD commands and VCD tasks
ModelSim VCD commands map to IEEE 1364 VCD system tasks and appear in
the VCD file along with the results of those commands. The table below shows
the mapping of the extended VCD commands to the IEEE 1364 keywords.
In addition to the commands above, the vcd comment command
(CR-100) can be
used to add comments to the VCD file.
A VCD file from source to output
The following example shows the VHDL source, a set of simulator commands,
and the resulting VCD output.
VHDL source code
The design is a simple shifter device represented by the following VHDL source
code:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity SHIFTER_MOD is
port (CLK, RESET, data_in : IN STD_LOGIC;
Q : INOUT STD_LOGIC_VECTOR(8 downto 0));
END SHIFTER_MOD ;
VCD commands VCD system tasks
vcd add
(CR-98) $dumpvars
vcd checkpoint (CR-99) $dumpall
vcd file
(CR-101) $dumpfile
vcd flush
(CR-102) $dumpflush
vcd limit
(CR-103) $dumplimit
vcd off
(CR-104) $dumpoff
vcd on
(CR-105) $dumpon