User`s manual
133 pixel_out <= BLACK;
134 vga_in_wr <= 1;
135 cur_state <= NEXT_PIXEL_ST;
136 end
137 else begin
138 pixel_out <= pixel_in;
139 vga_in_wr <= 1;
140 cur_state <= NEXT_PIXEL_ST;
141 end
142 end
143 endcase
144 end
145 endmodule
A.3.12 audioManager.v
1 ‘default_nettype none
2 // Shawn Jain
3 // Receives audio samples via FTDI UM245R USB-to-FIFO, stores to
4 // onboard flash memory. Plays back the percentage of pixels used
5 // when audioTrigger is pulsed, where the percent is set by
6 // audioSelector. Internally it queues upto four separate tracks
7 // to be played. To save memory and for a faster transfer, the
8 // system constructs all numbers 1-100 out of a subset of the
9 // digits.
10
11 module audioManager(
12 input wire clock, // 27mhz system clock
13 input wire reset, // 1 to reset to initial state
14
15 // User I/O
16 input wire startSwitch,
17 input wire [6:0] audioSelector,
18 input wire writeSwitch, // 1=Write, 0=Read
19 output wire [63:0] hexdisp,
20 input wire audioTrigger, // 1=Begin Playback as determined by audioSelector
21
22 // AC97 I/O
23 input wire ready, // 1 when AC97 data is available
24 input wire [7:0] from_ac97_data, // 8-bit PCM data from mic
25 output reg [7:0] to_ac97_data, // 8-bit PCM data to headphone
26
27 // Flash I/O
28 output wire [15:0] flash_data,
29 output wire [23:0] flash_address,
30 output wire flash_ce_b,
235