User guide
23-70
SystemVerilog Assertion Constructs
$ova_get_category("assertion_full_hier_name")
or
$ova_get_category(assertion_full_hier_name)
System function that returns an unsigned integer for the category.
Using Attributes
You can prefix an attribute in front of an assert statement to specify
the category of the assertion. The attribute must begin with the
category name and specify an integer value, for example:
(* category=1 *) a1: assert property (p1);
(* category=2 *) a2: assert property (s1);
The value you specify can be an unsigned integer from 0 to 2
24
- 1,
or a constant expression that evaluates to 0 to 2
24
- 1.
You can use a parameter, localparam, or genvar in these
attributes. For example:
parameter p=1;
localparam l=2;
.
.
.
(* category=p+1 *) a1: assert property (p1);
(* category=l *) a2: assert property (s1);
genvar g;
generate
for (g=0; g<1; g=g+1)
begin:loop
(* category=g *) a3: assert property (s2);
end
endgenerate