User guide
2-23
Modeling Your Design
Using the +v2k Compile-Time Option
The following table lists the implemented constructs in Std 1364-2001
and whether you need the +v2k compile-time option to use them.
Std 1364-2001 Construct Require +v2k
comma separated event control expressions:
always @ (r1,r2,r3)
yes
name-based parameter passing:
modname #(.param_name(value)) inst_name(sig1,...);
yes
ANSI-style port and argument lists:
module dev(output reg [7:0] out1, input wire [7:0]
w1);
yes
initialize a reg in its declaration:
reg [15:0] r2 = 0;
yes
conditional compiler directives:
‘ifndef and ‘elseif
yes
disabling the default net data type:
‘default_nettype
yes
signed arithmetic extensions:
reg signed [7:0] r1;
no
file I/O system tasks:
$fopen $fsanf $scanf and more
no
passing values from the runtime command line:
$value$plusarg system function
yes
indexed part-selects:
reg1[8+:5]=5’b11111;
yes
multi-dimensional arrays:
reg [7:0] r1 [3:0] [3:0];
yes
maintaining file name and line number:
‘line
yes
implicit event control expression lists:
always @*
yes