User guide

13-26
SDF Backannotation
sub Y (w1,w2,in,in,ctrl,ctrl);
sub W (out1,out2,w1,w2,ctrlw,ctrlw);
initial begin
$display(" i c ww oo");
$display("ttt n t 12 12");
$monitor($realtime,,,in,,ctrl,,w1,w2,,out1,out2);
end
initial begin
ctrl = 0;// enable
ctrlw = 0;
in = 1'bx; //stabilize at x;
#100 in = 1; // x-1
#100 ctrl = 1; // 1-z
#100 ctrl = 0; // z-1
#100 in = 0; // 1-0
#100 ctrl = 1; // 0-z
#100 ctrl = 0; // z-0
#100 in = 1'bx; // 0-x
#100 ctrl = 1; // x-z
#100 ctrl = 0; // z-x
#100 in = 0; // x-0
#100 in = 1; // 0-1
#100 in = 1'bx; // 1-x
end
endmodule
`celldefine
module sub(o1,o2,i1,i2,c1,c2);
output o1,o2;
input i1,i2;
input c1,c2;
bufif0 Z(o1,i1,c1);
bufif0 (o2,i2,c2);
specify
(i1,c1 *> o1) = (1,2,3,4,5,6);
// 01 = 1, 10 = 2, 0z = 3, z1 = 4, 1z = 5, z0 = 6
if (i2==1'b1) (i2,c2 *> o2) = (7,8,9,10,11,12);
// 01 = 7, 10 = 8, z1 = 10, 1z = 11, z0 = 12
endspecify
subsub X ();
endmodule
`endcelldefine
module subsub(oa,ob,ib,ia);