User guide

24-232
SystemVerilog Testbench Constructs
var=111 coverage=83.333336
var=000 coverage=100.000000
var=001 coverage=100.000000
var=010 coverage=100.000000
var=011 coverage=100.000000
See the get_coverage(), stop(), start() example on page 235 for
another example of using the get_coverage() function.
get_inst_coverage()
Calculates the coverage number for coverage information related
to the covergroup instance. Return type: real.
program test();
reg clk = 0;
reg [2:0] var = 3'b001;
covergroup covType (input integer param1) @(clk);
cp1: coverpoint var {
bins s0 = { [ 0 : param1] } ;
bins s1 = { 3 };
bins s2 = { 4 };
bins s3 = { 5 };
}
type_option.per_instance =1;
endgroup
covType cov1;
initial begin
repeat (5) begin
#5 clk = ~clk;
var = var + 1;
$display("var=%b coverage=%f\n", var,
cov1.get_inst_coverage());