User guide
24-231
SystemVerilog Testbench Constructs
bins s0 = {[ 0 : 2]} ;
bins s1 = { 3 };
bins s2 = { 4 };
bins s3 = { 5 };
bins s4 = { 6 };
bins s5 = { 7 };
}
endgroup
function new;
covType = new(); //instantiate the embedded covergroup
endfunction
endclass
A A_inst;
initial begin
repeat (10) begin
#5 clk = ~clk;
var = var + 1;
/* get_coverage() calculates the number of the embedded
covergroup covType as a whole */
$display("var=%b coverage=%f\n", var,
A_inst.covType.get_coverage());
end
end
initial
A_inst = new();
endprogram
Output of program:
var=010 coverage=0.000000
var=011 coverage=16.666666
var=100 coverage=33.333332
var=101 coverage=50.000000
var=110 coverage=66.666664